头像

张润曦

职称:

直属机构: 通信与电子工程学院

学科:

10 访问

相关教师

个人资料

  • 部门: 通信与电子工程学院
  • 性别:
  • 专业技术职务: 博士生导师、教授
  • 毕业院校: 华东师范大学
  • 学位: 博士
  • 学历: 研究生
  • 联系电话: 62233396
  • 电子邮箱: rxzhang@ee.ecnu.edu.cn
  • 办公地址: 闵行校区信息楼639
  • 通讯地址: 上海市闵行区东川路500号,华东师范大学,通信与电子工程学院
  • 邮编: 200241
  • 传真: 62233396

教育经历

2004-2009年,华东师范大学电子工程系,微电子与固体电子学专业,硕博连读,理学博士学位

工作经历

2009年12月,华东师范大学博士毕业,留校任教,2013年至今历任华东师范大学副教授、教授、博士生导师

个人简介

2009年在华东师范大学获微电子学与固体电子学专业博士学位,2010年在华东师范大学任讲师,2013年至今,先后受聘为华东师范大学副教授、教授、博士生导师入选上海市人才计划,获上海市产学研合作优秀项目奖,华东师范大学师德标兵、优秀共产党员


现任华东师范大学,通信与电子工程学院/集成电路科学与工程学院院长助理,微电子电路与系统研究所所长,集成电路设计与应用研究所所长




社会兼职

IEEE SSCS, MTTS 会员,日本电子情报通信学会(IEICE)会员,上海市系统仿真协会会员

Journal of Semiconductors, Electronics Letters, Analog Integrated Citcuit and Signal Processing, IEEE Microwave Wireless Components Letters, IEEE Transactions on Circuits and Systems II: Express Briefs,IEEE Sensors Journal,IEEE Transactions on Very Large Scale Integration Systems等杂志同行评议。

研究方向

研究兴趣:集成电路芯片设,具体包括:


传统领域:


1. 自动驾驶汽车毫米波雷达芯片

单通道、多通道自动驾驶汽车雷达芯片、毫米波相控阵雷达芯片


2. 高性能射频、模拟、数字及混合信号集成电路的设计技术

5G/6G无线通信收发机芯片、射频识别读写器芯片、低功耗无线收发机芯片、高速有线通信芯片、高性能频率综合器芯片、高性能功率放大器芯片、高速中高精度模/数转换器芯片


3. 超低功耗IoT SoC芯片、无线能量获取RFEH芯片、全集成电源管理芯片

超低功耗唤醒式无源散射无线通信收发器芯片、IoT无线能量获取芯片、LDO及DCDC、ACDC集成开关电源管理芯片


新兴领域:


1. IMC存算一体芯片

基于SRAM与MRAM的In-memory Computing 芯片


2. 太赫兹感知/雷达芯片

太赫兹感知/雷达芯片


3. 量子计算控制器与读写器芯片

Qubit读写器与控制器芯片


4. 智能电子药物、合成生物学人工智能芯片

电子药物胶囊芯片、人体健康诊断与治疗(糖尿病、高尿酸症等)合成生物学芯片、可穿戴健康监测芯片


5. 生命体征探测、雷达成像和行为动作识别算法研究

基于WiFi的生命体征、行为探测算法与系统研究



课题组研究骨干:



欢迎(通信、电子、微电子、计算机、物理、数学等相关专业)有志于在以上方向从事科学与技术研究的同学加入本课题组!请致信:

rxzhang@ee.ecnu.edu.cn,cqshi@ee.ecnu.edu.cn,llhuang@cee.ecnu.edu.cn


招生专业:微电子学与固体电子学(学术型)、集成电路工程(专业型)、集成电路科学与工程(学术型)。

课题组每年招生名额:硕士15-20名,博士3-5名。


课题组招生原则:”勇于创新,不以出身论英雄、不以考分论成败,但求诚信做人、踏实做事、坚持兴趣、不忘初心“。


课题组招生建议:“课题组风清气正,成员积极向上(但不卷,正常的那种积极),没有良好的生活态度、工作态度和未来志向的同学请三思”。



热烈欢迎有兴趣从事“模拟、数字、混合信号、射频、毫米波和太赫兹集成电路设计”等相关研究工作的同学申请本课题组博士后。


热烈欢迎有兴趣从事集成电路设计的青年才俊加入团队。

实验室秉承与集成电路产业界紧密接轨、产教融合的理念培养学生,提供兼容并包的科研环境。



卓越研究生人才培养:



2022年6月IMCS课题组合影




2021年5月IMCS课题组合影



2020年6月IMCS课题组合影




2019年5月IMCS课题组合影




2016年7月IMCS课题组合影



2013年7月IMCS课题组合影



2011年4月IMCS课题组合影



2006年7月IMCS课题组合影


































































招生与培养

开授课程

本科生:

1. 模拟集成电路设计,

2. 射频集成电路设计,

3. 射频通信电路,

4. 通信电子线路。



硕博生:

1. CMOS模拟集成电路与系统设计,

2. CMOS射频集成电路设计。

科研项目

进行中项目(8项):

合成生物学芯片:

(8)《基于人工定制细胞的智能电子药物及其用于代谢稳态控制的研究》,国家自然科学基金委原创探索专项,2023-01-01至2025-12-31,项目参与

(7)《人工基因回路设计、构建及其用于代谢疾病智能诊疗的研究》, 国家重点研发计划,2020年1月-2024年12月,课题主持

低功耗芯片:

(6)《超低功耗唤醒式无线通信收发芯片研发》,2021年11月-2022年11月,项目主持

(5)《可用于芯片光梳的高精度光频合成技术研究》,2021年8月-2023年7月,项目参与

模拟射频毫米波芯片:

(4)《Radar over WiFi芯片及WiFi射频前端模组研发及产业化合作》2021年5月-2024年5月,项目参与

(3《24GHz,77GHz毫米波雷达芯片研发》,2020年1月-2023年12月,项目主持

(2)《xxxx型中频处理电路设计》2021年5月-2023年5月,项目参与

(1超高速无线通信毫米波收发芯片研发》,2020年1月-2024年12月,项目主持



已结题项目(15项):

(15E-Band超高速无线通信毫米波信号源芯片技术研究》,已结题,项目主持

14《低功耗蓝牙芯片研发及产业化合作》, 已结题,项目主持

(13)《超高频射频识别读写器芯片的多噪声建模与优化方法研究》, 已结题,项目主持

(12)《移动式UHF RFID阅读器防碰撞问题研究与测试验证》, 已结题,项目主持

(11)《超高频RFID读写器芯片研发及产业化合作》, 已结题,项目主持

(10)《硅基高能效毫米波通信集成电路关键技术研究》, 已结题,项目主持

9《超高速宽带接入EoC芯片研发合作》, 已结题,项目主持

8《嵌入式多模、多频收发器关键IP硬核研究》, 已结题,项目主持

7《射频短距离识别集成芯片关键技术研究》, 已结题,项目主持

615GHz CMOS频率综合器的研究与设计》, 已结题,项目主持

560GHz超高速通信射频前端芯片技术研究》, 已结题,项目参与

4《基于RF SOI-CMOS工艺的MOS器件结构及电路应用研究》, 已结题,项目主持

3《便携式射频识别接收机前端关键技术研究》, 已结题,项目参与

2802.11a/b/g WLAN射频前端双频切换技术研究》,已结题,项目参与

1《红外焦平面阵列640×512读出电路芯片》, 已结题,项目参与











学术成果

截止2023年12月,已发表论文200余篇


Chip Valley:

13. 44uW 433MHz超低功耗背散射标签芯片(2021-2022)



12. 24GHz FMCW/Doppler 双模毫米波雷达芯片对无人机探测的现场测试视频(2020-2021)


  


11. 64-84 GHz CMOS LNA for Wideband mmW Applications (2019-2020)


10. W-Band Wideband CMOS mmW PAs for Automotive Radar (2019-2020)


9. CMOS FMCW Generator for 77GHz Radar (2018-2019)

8. CMOS 21.9% Tuning Range and Sub-200 fs RMS Jitter Frequency Synthesizer for 5G mm-Wave Applications (2016-2017)


7. 65nm CMOS 71-76, 81-86 GHz mm-Wave Transceiver Chip (2014-2015)




6. 0.13um CMOS 27-32GHz PLL Frequency Synthesizer (2013-2014)



  

5. 0.13um CMOS 0.8/1.2/2.0/2.4GHz EoC Multi-Band Multi-Mode Transceiver (2012-2013)




4. 0.18um SiGe BiCMOS 840-960MHz UHF RFID Reader IC (2011-2012)



3. CMOS 0.8~6GHz 小数分频频率综合器芯片 (2010-2011)



2. 0.13um CMOS 15GHz VCO (2009-2010)




1. 0.18um CMOS UHF RFID Reader Chip (2008-2009)





课题组最新论文:


2024年(8篇)

[No.8 毫米波通信芯片]

A Dual-Path Low Noise Amplifier using Ultra-Wideband Noise Optimization for Millimeter-Wave 5G Communication

IEEE Conference on Microwave and Millimeter-Wave Technology,2024,Accepted.

[No.7 电源芯片]

 A Buck DC-DC Achieving High Efficiency in an Ultra-wide-load Range from 0.001 to 2A

IEEE Conference on Microwave and Millimeter-Wave Technology,2024,Accepted.

[No.6 毫米波雷达芯片]

A High-Linear FMCW Frequency Synthesizer with Low RMS FM Error and Wide Chirp Bandwidth

IEICE Electronics Express (SCI), 2024, Accepted.

[No.5 WiFi射频芯片]

A 1.8 dB Noise Figure 5.3-7.4 GHz BW3dB CMOS LNA for 802.11ax Applications

IEEE Wireless and Microwave Technology Conference,2024,Accpeted.

[No.4 毫米波Radar芯片]

A High-Linear PLL-based FMCW Frequency Synthesizer with 42-kHz rms FM Error and 1.2-GHz Chirp Bandwidth

IEEE Wireless and Microwave Technology Conference,2024,Accepted.

[No.3 太赫兹 Radar芯片]

A Terahertz Dual-Channel Radar Transceiver in 22nm CMOS With 60GHz Bandwidth

IEEE Global Symposium on Millimeter-Wave and Terahertz,2024,Accepted.

[No.2 感知算法]

A PCA Acceleration Algorithm for WiFi Sensing and Its Hardware Implementation 

IEEE International Symposium on Circuits and Systems,  2024,  Accpeted.

[No.1 低功耗RF射频通信收发机芯片]

A 44μW IoT Tag Enabling 1μs Synchronization Accuracy and OFDMA Concurrent Communication with Software-Defined Modulation(学校官网新闻:华东师大历史上首篇ISSCC,打破了学校记录)

IEEE International Solid-State Circuits Conference, 2024.

DOI: 10.1109/ISSCC49657.2024.10454346


2023年(10篇)

[No.10 ADC芯片]

A Low Power 8-to-12 bit Reconfigurable SAR ADC for Portable Ultrasound Systems,

IEEE Biomedical Circuits and Systems,2023.

[No.9,  ADC芯片] 

A 10.31 ENOB 3.125 MHz BW fully passive 2nd-order noise-shaping SAR ADC for low cost IoT sensor networks

IEICE Electronics Express (SCI), 2023, 

[No.8,ADC芯片] 

A 400-MS/s 10-bit SAR-Assisted Two-Step Digital-Slope ADC

IEEE International Midwest Symposium on Circuits and Systems (MWCAS),2023,Accpeted.

[No.7,ADC芯片] 

A 5GS/s 11b Time-Interleaved TDC-Assisted SAR ADC with High-Speed Latch-based VTC

IEEE European Solid-State Circuits Conference (ESSCIRC),2023, Accepted.

[No.6,LNA芯片] 

A 74.8-88.8 GHz Wideband CMOS LNA Achieving +4.73 dBm OP1dB and 6.39 dB Minimum NF

IEEE International Microwave Symposium(IMS),2023.

DOI: 10.1109/IMS37964.2023.10188098

[No.5,ADC芯片] 

A 5GS/s 11b Time-Interleaved TDC-Assisted SAR ADC with High-Speed Latch-based VTC in 22nm FDSOI

 IEEE Symposium on VLSI Technology and Circuits, 2023,Submitted.

[No.4,PLL芯片] 

A 3.84 GHz 32 fs RMS Jitter Over-Sampling PLL with High-Gain Cross-Switching Phase Detector 

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2023.

DOI: 10.1109/ISCAS46773.2023.10181713

[No.3, 毫米波芯片]

A High-Gain and Low-Noise Mixer With Hybrid Gm-Boosting For 5G FR2 Applications

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2023.

DOI: 10.1109/ISCAS46773.2023.10181820

[No.2, 低功耗芯片] 

A 88% Peak-Efficiency 10-mV Voltage Ripple Dual-Mode Switched-Capacitor DC-DC Converter for Ultra-Low-Power Battery Management

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2023.

DOI: 10.1109/ISCAS46773.2023.10182121

[No.1, ADC芯片] 

On-Chip Fast Signal Generation and Low-Power SAR ADC for SiPM Readout 

IEEE Transactions on Nuclear Science, Early Access, 2023

https://ieeexplore.ieee.org/document/10032595


2022年(13篇)

[No.13, 实时感知算法] 

A Real-time Respiration Monitoring System Using WiFi Sensing Based on the Concentric Circle Model

IEEE Transactions on Biomedical Circuits and Systems, 2022.12,(5.2/JCR Q1)

DOI: 10.1109/TBCAS.2022.3229435

[No.12, 高速时钟数据恢复芯片] 

A 21.3-24.5 Gb/s Low Jitter PLL-Based Clock and Data Recovery Circuit with Cascode-Coupled Quadrature LC-VCO

IEICE Electronics Express (SCI), 2022, 

https://doi.org/10.1587/elex.19.20220432

[No.11,高速模数转换器芯片] 

A 550-MS/s 10-Bit 7.5-fJ/conv.-step SAR ADC with A Double-Rate Comparator

IEEE Transactions on Very Large Scale Integration Systems, submitted, 2022

[No.10, 模拟电源芯片] 

A Fast Transient Response Capacitor-Less LDO Achieving -88 dB PSR at 10 kHzIEEE International Conference on Microwave and Millimeterwave Technology,2022

DOI: 10.1109/ICMMT55580.2022.10022942

[No.9, 低功耗电路芯片] 

A 12 nA Ultra-Low Quiescent Current Capacitor-Less LDO with 350 ns Fast Transient Respone

IEEE International Conference on Circuits and Systems,2022, 

DOI: 10.1109/ICCS56666.2022.9936586

[No.8,毫米波手势识别系统] 

Gesture Recognition System Using 24 GHz FMCW Radar Sensor Realized on Real-Time Edge Computing Platform,

IEEE Sensors Journal,30 March 2022,(3.301/JCR Q2),

DOI: 10.1109/JSEN.2022.3163449

[No.7,双模雷达锁相环芯片] 

A 24 GHz FMCW/Doppler Dual-Mode Frequency Synthesizer with 68.8 kHz RMS FM Error and 1.25 GHz Chirp Bandwidth

IEEE Transactions on Circuits and Systems--II: Express Briefs, 16 March 2022,(3.292/JCR Q2

DOI: 10.1109/TCSII.2022.3159790

[No.6, 射频毫米波前端芯片]

基于级间电容抵消技术的74~88 GHz高性能CMOS LNA设计

固体电子学研究与进展,Vol. 42,No. 6,2022

[No.5,  低功耗电路芯片] 

A 1.28-nW 1.97-kHz Relaxation Oscillator for Ultra-Low Power System

IEEE International Conference on Circuits and Systems,2022,

DOI: 10.1109/ICCS56666.2022.9936292

[No.4,射频毫米波前端芯片] 

A 71-86 GHz Cascaded Harmonic Enhanced Tripler with -69 dBc Fundamental and -66 dBc Second Harmonic Suppression

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2022. 

DOI: 10.1109/ISCAS48785.2022.9937280

[No.3,压控振荡器芯片] 

A 23.4-27.6GHz ZigZag VCO with Continuous Frequency Switching for FMCW Radars

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2022. 

DOI: 10.1109/ISCAS48785.2022.9937550

[No.2,实时呼吸监测算法] 

A Real-time Respiration Monitoring System Using WiFi-Based Radar Model

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2022. 

DOI: 10.1109/ISCAS48785.2022.9937494

[No.1,毫米波锁相环芯片] 

A 24 GHz FMCW/Doppler Dual-Mode Frequency Synthesizer with 68.8 kHz RMS FM Error and 1.25 GHz Chirp Bandwidth

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2022.


2021年(10篇)

[No.10,射频电路芯片] 

A Wideband Noise and Harmonic Distortion Canceling Low-Noise Amplifier for High-Frequency Ultrasound Transducers,

Sensors Journal(SCI),2021,21,8476,3.576/JCR Q2

DOI: 10.3390/s21248476 

[No.9,模拟电源芯片] 

A Fast Transient response Capacitor-Less LDO with 123 nA Ultra-Low Quiescent Current, 

IEEE International Conference on Circuits and Systems,2022,

DOI: 10.1109/ICCS52645.2021.9697200

[No.8,毫米波电路芯片] 

A 22-33 GHz Wideband CMOS LNA Using Low-k Non-inverting MCCRs for 5G mmW Communication Applications, 

IEEE 2021 14th International Conference on ASIC

DOI: 10.1109/ASICON52560.2021.9620394

[No.7,数字电路系统] 

基于24GHz调频连续波雷达的二维近场成像系统,

微波学报,2021

[No.6,数字电路系统] 

基于FPGA的毫米波雷达信号处理系统设计

固体电子学研究与进展,2021。

[No.5,混合信号芯片] 

A Highly-Linear FPGA-Based TDC and a Low-Power Multi-Channel Readout ASIC with a Shared SAR ADC for SiPM Detectors

IEEE Transactions on Nuclear Science (SCI), vol. 68, no. 8, August, 2021.1.679/JCR Q3

DOI: 10.1109/TNS.2021.3096162

[No.4,毫米波电路芯片] 

A High Gain V-band Power Amplifier for 5G Applications,

IEICE Electronics Express (SCI), 2021.0.578/JCR Q4

DOI: 10.1587/elex.18.20210049

[No.3,毫米波电路芯片] 

64-84 GHz CMOS LNA with Excellent Gain Flatness for Wideband mmW Applications

IEEE International Symposium on Circuits and Systems,ISCAS(CCF), 2021.

DOI: 10.1109/ISCAS51556.2021.9401228

[No.2,毫米波电路芯片] 

A 25-37 GHz VCO Employing Stacked-Coupled Switched Inductor and Co-tuned Buffer in 55nm CMOS for Multi-band 5G mmW Applications, 

IEEE Topical Meetings on Silicon Monolithic Integrated Circuits in RF Systems, SiRF,2021.

DOI: 10.1109/SiRF51851.2021.9383369

[No.1,模数转换器芯片] 

一款8位480 MS/s逐次逼近型模数转换器,

固体电子学研究与进展,2021(该刊2021年度最佳论文)


2020年(13篇)

[No.13,毫米波功放芯片] 

一种用于76-81 GHz汽车雷达的CMOS毫米波下混频器,

红外与毫米波学报(SCI),Vol. 39,No. 4,2020。

[No.12,低噪声放大器芯片] 

一种采用双耦合等效跨导增强技术的94GHz CMOS LNA

红外与毫米波学报(SCI),Vol. 39,No. 3,2020

[No.11,毫米波功放芯片] 

一款用于77/79 GHz汽车雷达的宽带功率放大器,

固体电子学研究与进展,Vol. 40,No. 3,2020。

[No.10,模数转换器芯片] 

An Automatic Comparator Offset Calibration for High-Speed Flash ADCs in FDSOI CMOS Technology

IEEE 2020 IEEE 11th Latin American Symposium on Circuits & Systems (LASCAS)

DOI: 10.1109/LASCAS45839.2020.9069018

[No.9,毫米波混频器芯片] 

 A Ka-Band High-Gain and Wideband mmW Down-Conversion Mixer for 5G Communication Applications

IEEE 2020 15th International Conference on Solid-State & Integrated Circuit Technology

DOI: 10.1109/ICSICT49897.2020.9278246

[No.8,模数转换器芯片]

 A Low-Power 16-Channel SiPM Readout Front-end with a Shared SAR ADC in 180 nm CMOS

IEEE 2020 15th International Conference on Solid-State & Integrated Circuit Technology

DOI: 10.1109/ICSICT49897.2020.9278142

[No.7,低噪声放大器芯片] 

A 64.5-88 GHz Coupling-Concerned CMOS LNA with >10 dB Gain and 5 dB minimum NF,

IEEE International Microwave Symposium, IMS,2020.

DOI: 10.1109/IMS30576.2020.9224000

[No.6,毫米波功率放大芯片]

Two W-Band Wideband CMOS mmW PAs for Automotive Radar Transceivers,

IEEE International Microwave Symposium, IMS, 2020.

DOI: 10.1109/IMS30576.2020.9223962

[No.5,混频器芯片] 

A 76-81 GHz CMOS mmW Quadrature Down-Conversion Mixer for Automotive Radar Applications

IEICE Electronics Express (SCI), 2020.0.578/JCR Q4

[No.4,锁相环电路芯片] 

A Quadrature Frequency Synthesizer with 118.7-fs Jitter,27.94% Locking Range for Multiband 5G mmW Applications

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2020.

DOI: 10.1109/ISCAS45731.2020.9181060

[No.3,模数转换器芯片] 

A 6-b 20-GS/s 2-Way Time-Interleaved Flash ADC with Automatic Comparator Offset Calibration in 28-nm FDSOI, 

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2020.

DOI: 10.1109/ISCAS45731.2020.9180695

[No.2,功率放大器芯片]

Two High Power and Broadband Power Amplifiers using Transformer-based Resonating Peak Control Technique for E-Band Communication and Automotive Radars in 55-nm CMOS,

IEEE International Conference on Microwave and Millimeter Wave Technology, ICMMT, 2020.

DOI: 10.1109/ICMMT49418.2020.9386474

[No.1,模拟电路芯片]

A 1.2 GHz Bandwidth and 88 dB Gain Range Analog Baseband for Multi-Standard 60 GHz Applications

IEICE Electronics Express (SCI), 2020.(0.578/JCR Q4


2019年(4篇)

[No.4,压控振荡器芯片] 

Two wide-tuning-range mm-wave VCOs with SCTL and SCCPW in 45 nm SOI CMOS for 5G applications,

Journal of Analog Integrated Circuits Signal and Processing (SCI),2019.(1.337/JCR Q4

DOI: 10.1007/s10470-019-01574-z

[No.3,模数转换器芯片] 

A 500MS/s 10-Bit Single-Channel SAR ADC with a Double-Rate Comparator,

IEEE European Solid-State Circuits Conference,ESSCIRC,2019.

DOI: 10.1109/ESSCIRC.2019.8902706

[No.2,锁相环电路芯片] 

A Wide Tuning Range and Low Phase Noise Quotient Frequency Synthesizer for Multiband Millimeter-Wave Applications, 

IEEE 2019 Texas Symposium on Wireless and Microwave Circuits and Systems

DOI: 10.1109/WMCaS.2019.8732534

[No.1,模数转换器芯片]

A Low-Power SiPM Readout Front-End with Fast Pulse Generation and Successive Approximation Register ADC in 0.18 µm CMOS, 

IEEE International Symposium on Circuits and Systems,ISCAS(CCF), 2019.

DOI: 10.1109/ISCAS.2019.8702235


2018年(8篇)

[No.8, 低噪声放大器芯片]

A Current Reuse Wideband LNA with Complementary Noise and Distortion Cancellation for Ultrasound Imaging Applications

IEEE 2018 Asia Pacific Conference on Circuits and Systems (APCCAS)

DOI: 10.1109/APCCAS.2018.8605575

[No.7,功率放大器芯片] 

A 24–28 GHz high-stability CMOS power amplifier using commongate-shorting (CGS) technique with 17.5 dBm Psat and 16.3% PAE for 5G millimeter-wave applications, 

Journal of Analog Integrated Circuits Signal and Processing (SCI), 2018.(1.337/JCR Q4

DOI: 10.1007/s10470-018-1350-y

[No.6,压控振荡器芯片] 

An 88.68% Tuning Range Enhancement and High Process Compatibility mm-Wave QVCO with SCHI Achieving -196.6 FOMT

IEEE Asia-Pacific Microwave Conference, APMC, 2018.

DOI: 10.23919/APMC.2018.8617654

[No.5,锁相环电路芯片] 

A Bandwidth-Tracking Self-Biased 5-to-2800 MHz Low-Jitter Clock Generator in 55nm CMOS, 

IEEE Asia Pacific Conference on Circuits and Systems, APCCAS, 2018.

DOI: 10.1109/APCCAS.2018.8605563

[No.4,锁相环电路芯片] 

A Ka-band Dual Co-tuning Frequency Synthesizer with 21.9% Locking Range and Sub-200 fs RMS Jitter in CMOS for 5G mm-Wave Applications

IEEE International Symposium on Circuits and Systems, ISCAS(CCF), 2018.

DOI: 10.1109/ISCAS.2018.8351240

[No.3,分频器芯片] 

Analytical and Experimental Study of Wide-Range CMOS Static Frequency Divider for 5G mm-Wave Applications, 

IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT,2018.
DOI: 10.1109/ICSICT.2018.8565700

[No.2,数模转换器芯片] 

A 4.7-mW 12-bit 100-MS/s Hybrid DAC, 

IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT, 2018.

DOI: 10.1109/ASICON.2015.7517105

[No.1,毫米波发射机芯片] 

A 76-81GHz Millimeter-Wave Quadrature Transmitter for Automotive Radar System, 

IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT, 2018.

DOI: 10.1109/ICSICT.2018.8564866


以往学术论文(部分列出,*表示论文通信作者):
英文:

[2018] Tianye He, Runxi Zhang*, Hui Yang, Jiefu Wang, and Chunqi Shi, A Ka-band Dual Co-tuning Frequency Synthesizer with 21.9% Locking Range and Sub-200 fs RMS Jitter in CMOS for 5G mm-Wave Applications,  IEEE ISCAS, 2018.
[2016] Meng-Lei Lv, Ying-Hong Tian, Chun-Shen Jiang, Runxi-Zhang, Chun-Qi Shi*, An Improved RFID Anti-collision Algorithm,IEEE ICSICT, 2016.
[2016] Yujuan He, Runxi Zhang*, Chunqi Shi, A wide Locking Range and Low Phase Noise Quadrature Frequency Synthesizer suitable for the Next Generation of Wireless Communication, IEEE ICSICT, 2016.
[2016] Tianyu Shen, Runxi Zhang*, Chunqi Shi,A Single-Element of Ka-Band 0.13µm CMOS All-RF Phased-Array Receiver with 4-bit Phase Resolution,  IEEE ICSICT, 2016.
[2016] Yong Huang, Runxi Zhang*, and Chunqi Shi, A Fully-integrated Ka-Band CMOS Power Amplifier with Psat of 20dBm and PAE of 19%, IEEE ICUWB, 2016.
[2016] Runxi Zhang*, Chunqi Shi, Yang You, and Jinghong Chen, A 20% Locking Range and -125dBc/Hz Phase Noise Quotient Frequency Synthesizer for Multiband Millemeter-Wave Applications, submitted to IEEE Journal of Solid State Circuits, 2016.
[2016] Pengfei Tian, Zhibo Song, Feng Yang, Runxi Zhang* and Chunqi Shi, A Novel Blocker Rejection Receiver Front-end for Single-chip UHF RFID Reader, IEEE ICMMT, 2016.
[2015] R. X. Zhang*, C. Q. Shi and T. Y. He, A 20% Locking Range and -125dBc/Hz Phase Noise Quotient Frequency Synthesizer Suitable for Multiband Millimeter-Wave Applications, IEEE Asia Pacific Microwave Conference (APMC), 2015.
[2015] Guang Zhong,R. X. Zhang* and C. Q. Shi, A 65nm CMOS +14dBm-Psat and 10%-PAE 81-86GHz Power Amplifier with Parallel Combiner, IEEE Asia Pacific Microwave Conference (APMC), 2015.
[2015] Feng Yang, Chunqi Shi, Runxi Zhang*, Zhibo Song, A Low-Voltage Low-Power High-PSRR CMOS Voltage Reference, IEEE PrimeAsia, 2015.
[2015] Jianqiao Tang, Runxi Zhang*, Chunqi Shi,A Wideband VCO with Constant Tuning-Gain andUniform Sub-Band Interval for Single-Chip UHF RFID Reader, IEEE ASICON, 2015.
[2015] Wei Xu, C. Q. Shi and Runxi Zhang*, Research of Segmented 8bit Voltage-Mode R-2R Ladder DAC,IEEE ASICON, 2015.
[2014] Hui He, R. X. Zhang*, Jian Zhang, Two 81-96GHz Active Frequency Triplers MMIC, IEEE ICCIT, 2014(EI)
[2014] Jianhang Fan,C. Q. Shi* , R. X. Zhang and Z. S. Lai, A high linearity cmos UP-conversion mixer for UHF RFID reader application, IEEE ICSICT, 2014(EI).
[2014] Yiyu Yan, R. X. Zhang*, C. Q. Shi and Z. S. Lai,A Power-efficient 4:1 Dynamic Frequency Divider with Periodical Injection Technique, IEEE ICSICT, 2014 (EI).
[2014] Sheng Chen, R. X. Zhang*, C. Q. Shi and Z. S. Lai, A Q-band CMOS LNA with common source topology based on algorithmic design, IEEE ICSICT, 2014,(EI).
[2014] R. X. Zhang*, C. Q. Shi and Z. S. Lai, A Single-Chip UHF RFID Reader Transceiver for Mobile Applications, IEEE International Wireless Symposium (IWS)‚ 2014‚(EI).
[2013] R. X. Zhang*, C. Q. Shi and Z. S. Lai, A Single-Chip SiGe BiCMOS UHF RFID Reader Transceiver IC, Journal of Communications and Networks‚ 2013‚ vol.5‚ no.3‚ (EI).
[2013] C.Q. Shi, Runxi Zhang* and Z. S. Lai‚ A 30GHz Wideband CMOS ILFD for 60GHz Transceiver, Journal of Communications and Networks‚ 2013‚ vol.5‚ no.3‚ (EI).
[2012] Y. D. Jiang, R. X. Zhang*, C. Q. Shi, A 76-dBm IIP2 Down-conversion Mixer for TD-SCDMA/RFID Applications, Journal of Analog Integr Circand Sig Process, 2012, vol.70, no.1, (SCI).
[2012] Chen Lei, Shi Chunqi*, Zhang Runxi, Ruan Ying, Lai Zongsheng, An Ultra-Wide-Band 3.1-10.6GHz LNA Design in 0.18um SiGeBiCMOS, International Journal of Electronics and Communications, Vol.66, No.2, pp.157-161,2012, (SCI).
[2012] Q. Yan, L. Hua, C. Q. Shi*, R. X. Zhang , Zongsheng Lai, A Fully Integrated 0.35um SiGe Power Amplifier Design, IEEE ICSICT, 2012, Vol.1, pp.352-355, (EI).
[2011] L. Chen, R. X. Zhang*, C. Q. Shi and Z. S. Lai, A Noval High-isolation RF-SOI Switch for 2.4GHz Multi-standard Applications, Journal of Analog Integr Circand Sig Process, 2011, vol.67, no.2, (SCI).
[2011] L. Hua, Q. Yan, L. Chen, Runxi Zhang*, Chunqi Shi, A 0.8-2.5GHz Wideband SiGe BiCMOS Low Noise Amplifier with Noise Fiugre of 1.98-3.3dB, IEEE ASICON, 2011, pp.1086-1089, (EI).
[2011] L. Huang, Runxi Zhang*, Wei Li. A 15 GHz CMOS Low Phase Noise VCO Using Coupled Coplanar Waveguide. IEEEICSSIC, 2011, (EI).
[2011] Q. L. Xu, Runxi Zhang*, A 5.15-5.825GHz CMOS down-conversion mixer for WLAN 802.11a, WiCOM, 2011, (EI)
[2010] R. X. Zhang*‚ C. Q. Shi‚ Y. H. Chen‚ W. He‚ P. Xu‚ S. Xu‚ and Z. S. Lai‚A single-chip CMOS UHF RFID reader transceiver‚IEEE Radio Frequency Integrated Circuits (RFIC) Symposium‚ 2010‚ (EI).
[2010] R. X. Zhang*‚ C. Q. Shi‚ Y. H. Chen‚ and Z. S. Lai‚ A Single-Chip UHF RFID Reader Transceiver in 0.18um CMOS Technology‚ IEEE GSMM, 2010‚ (EI).
[2010] R. X. Zhang*‚ C. Q. Shi‚ Y. H. Chen‚ W. He‚ P. Xu‚ S. Xu‚ and Z. S. Lai‚ Several Key Issues in Single-chip UHF RFID Reader Design‚ IEEE ICMMT, 2010‚ (EI).
[2010] S. Xu, W. He,C. Q. Shi, R. X. Zhang andZ. S. Lai*, Design of Wideband and Low Phase Noise LC VCO, IEEE ICSICT, 2010, Vol.1, pp.650-652, (EI).
[2010] C. Q. Shi, R. X. Zhang*, L. Chen and Z. S. Lai, An Optimized ΔΣ Modulator in Fractional-N Frequency Synthesizer for UHF RFID Reader, IEEE ICMMT,2010, 2010.5, pp.1468-1471, (EI).
[2010] C. Q Shi‚ R. X. Zhang*‚ L. Chen‚ Z. S. Lai and L. Huang ‚ An Implementation of CMOS ΔΣ Fractional-N Frequency Synthesizer for UHF RFID Reader‚ IEEE GSMM, 2010, (EI).
[2010] L. Chen‚ C. Q. Shi*‚ R. X. Zhang‚ L. Huang and Z. S. Lai‚ A 2.4GHz SiGe BiCMOS LNA Design For Multi-mode 802.11b/g Receiver Applications‚ IEEE GSMM, 2010‚ (EI).
[2009] C. Q. Shi‚ R. X. Zhang* and Z. S. Lai‚ An Optimized ΔΣ Fractional-N Frequency Synthesizer for CMOS UHF RFID Reader‚ IEEE ASICON, 2009‚ vol.2‚ (EI).
[2009] C. Q. Shi‚ R. X. Zhang* and Z. S. Lai‚ A Low Noise VCO with Quadrature Prescaler for UHF RFID Reader‚IEEE NSWCTC, 2009‚ vol.2‚ (EI).
[2008] R. X. Zhang*‚ C. Q. Shi and Z. S. Lai‚ Linearity Enhancement Technology for Mixer in Monolithic CMOS UHF RFID Interrogator‚ IET Electronics Letters‚ 2008‚ vol.44‚ no.14‚ (SCI).
[2008] R. X. Zhang*‚ C. Q. Shi and Z. S. Lai‚ A Fractional-N Frequency Synthesizer for Single-Chip UHF RFID Reader‚Journal of Analog Integr Circ and Sig Process‚ 2008‚ vol.54‚ no.1‚ (SCI).
[2008] R. X. Zhang*‚ C. Q. Shi and Z. S. Lai‚ A Low Phase Noise Frequency Synthesizer for Single-Chip CMOS UHF RFID Reader‚ IEEE ICMMT, 2008‚ vol. 3‚ (EI).
[2008] H. L. Ma‚ L. Chen‚ R. X. Zhang*‚ Z. Y. Chen‚ Z. S. Lai‚ A differential low-noise amplifier for ask receiver‚ IEEE ICSICT, 2008‚ vol. 2‚ (EI).
[2006] Y. Y. Chen‚ R. X. Zhang* and Z. S. Lai‚ A 0.18um CMOS LNA for UHF RFID Reader Application‚ IEEE ICSICT, 2006‚ vol. 3‚ (EI).

中文:
[2019] 一种60 GHz高速无线通信系统宽带低功耗基带电路,张坤,张润曦*,石春琦,固体电子学研究与进展‚2019‚vol.39‚no.4‚(核心)
[2017] 用于RFID阅读器的低噪声高电源抑制比LDO,任兵兵,张润曦*,石春琦,微电子学‚2017‚已录用‚ (核心)
[2017] 一种符合多种协议要求的UHF RFID阅读器发射机设计,杨亚,石春琦*,张润曦,微电子学‚2017‚已录用‚ (核心)
[2016] 杨峰,石春琦,张润曦*,赖宗声, 一种低电压低功耗高PSRR CMOS基准电路,微电子学‚2016‚vol.46‚no.6‚ (核心)
[2016] 姚祺杰,张润曦*,石春琦,赖宗声,一种完全前馈式单环多位Σ-Δ调制器,微电子学‚2015‚vol.45‚no.5‚ (核心)
[2016] 张启帆,王建伟,石春琦,张润曦*,CMOS UHF RFID中低噪声宽带LC-VCO的设计,固体电子学研究与进展‚2015‚vol.35‚no.2‚(核心)
[2016] 王建伟,张启帆,张润曦*,石春琦,一种用于VCO供电的低噪声LDO设计,微电子学‚2015‚vol.45‚no.5‚ (核心)
[2014] 陆泼,张楠,谢磊,刘宝宝,李巍,张润曦*,应用于30GHz锁相环的高性能电荷泵设计,微电子学‚2014‚vol.44‚no.6‚ (核心)
[2014] 郭东君,聂丹萍,石春琦,张润曦*,应用于EoC芯片宽带低噪声下混频器设计,微电子学‚2014‚vol.44‚no.5‚ (核心)
[2014] 聂丹萍,刘柳,郭东君,石春琦,张润曦*,应用于EoC芯片的1.2/2/2.4GHz三频段上混频器,微电子学‚2014‚vol.44‚no.5‚ (核心)
[2014] 苏浩,郭东君,张楠,石春琦,张润曦*,一种高性能宽带直接变频射频前端设计,微电子学‚2014‚vol.44‚no.5‚ (核心)
[2014] 张楠,陆泼,苏浩,石春琦,张润曦*,8-25GHz 1:8高速分频器的设计,微电子学‚2014‚vol.44‚no.5‚ (核心)
[2014] 郑金汪,张润曦*,阎跃鹏等,低增益变化宽线性范围低噪声压控振荡器设计,微电子学与计算机‚2014‚vol.31‚no.6‚ (核心)
[2013] 蔡雨昕,尤琳,张润曦*,用于UHF RFID读写器的可编程高线性上混频器,微电子学‚2013‚vol.43‚no.4‚ (核心)
[2013] 尤琳,张书霖,袁圣越,石春琦,张润曦*,用于UHF RFID阅读器的自动频率校准模拟基带,微电子学‚2013‚vol.43‚no.2‚ (核心)
[2013] 谢磊,刘宝宝,谢淼,袁圣越,石春琦,张润曦*,UHF RFID阅读器中线性化调谐增益压控振荡器设计,微电子学‚2013‚vol.43‚no.1‚ (核心)
[2012] 谢淼,任旭,马聪,张润曦*,赖宗声,一种多频多模接收机低功耗信道选择滤波器,微电子学‚2012‚vol.42‚no.4‚ (核心)
[2011] 胡骁,蒋颖丹,徐倩龙,石春琦,赖宗声,张润曦*,一种1.8V 0.8~2.1GHz直接变频CMOS正交下变频器,微电子学‚2011‚vol.41‚no.6‚ (核心)
[2011] 任旭,谢淼,张勇,赖宗声,张润曦*,一种0.13um CMOS多模信道选择滤波器的设计,微电子学‚2011‚vol.41‚no.5‚ (核心)
[2011] 朱彤,黄飞,谢淼,赖宗声,张润曦*,一种低温度系数高驱动能力的带隙基准电路,微电子学‚2011‚vol.41‚no.4‚ (核心)
[2011] 黄飞,任旭,张勇,张润曦,赖宗声* ,多模多频收发机中可编程增益放大器的设计,微电子学‚2011‚vol.41‚no.2‚ (核心)
[2010] 徐萍,何伟,张润曦*,433 MHz ASK 接收机射频前端电路设计,固体电子学研究与进展,2010, vol.30,No.4,(核心)
[2010] 张润曦*,石春琦,赖宗声,超高频射频识别阅读器的高线性低噪声前端,固体电子学研究与进展,2010, vol.30,No.4,(核心)
[2010] 许帅,何伟,张润曦*,赖宗声,433MHz ASK接收机中低噪声锁相环的设计,微电子学‚2010‚vol.40‚no.6‚(核心)
[2010] 蒋颖丹,田应洪,张润曦,赖宗声*等,低功耗流水线ADC中多阈值比较器的设计,微电子学‚2010‚vol.40‚no.5‚ (核心)
[2010] 刘静,顾彬,陈亦灏,张润曦*‚赖宗声等,符合EPC C1 G2标准的UHF RFID阅读器数字基带ASIC实现,微电子学‚2010‚vol.40‚no.5‚ (核心)
[2010] 何伟,徐萍,张润曦*,UHF RFID 阅读器中低噪声小数频率综合器的设计,固体电子学研究与进展,2010, vol.30,No.3,(核心)
[2010] 徐萍,何伟,张润曦*,便携式UHF RFID 阅读器中发射前端电路设计,固体电子学研究与进展,2010, vol.30,No.3,(核心)
[2010] 何伟,徐萍,张润曦*,赖宗声,单片CMOS UHF RFID阅读器中低噪声LC VCO的设计,固体电子学研究与进展,2010, vol.30,No.2,(核心)
[2010] 张润曦*,何伟,石春琦,赖宗声‚UHF RFID阅读器中优化小数频率综合器设计, 固体电子学研究与进展‚2010, vol.30‚no.2‚(核心)
[2010] 石春琦,马和良,张润曦*‚赖宗声等,WLAN中带ESD保护的低噪声放大器设计,微电子学‚2010‚vol.40‚no.1‚ (核心)
[2009] 何伟,张润曦*,赖宗声等,单片UHF RFID阅读器中低噪声频率综合器的设计, 微电子学‚2009‚vol.39‚no.6‚ (核心)
[2009] 张勇,张润曦*,赖宗声,用于射频识别阅读器的并行放大求和结构对数放大器,微电子学‚2009‚vol.39‚no.5‚ (核心)
[2009] 马和良,陈磊,张润曦*,赖宗声,用于无线局域网的双频段低噪声放大器, 固体电子学研究与进展‚2009‚vol.29‚no.3‚(核心)
[2009] 陈子晏,张润曦,赖宗声*等‚单片UHF RFID阅读器中频率综合器的研究, 微电子学‚2009‚ vol.39‚no.1‚(核心)
[2008] 刘琳,张润曦,赖宗声*等,UHF RFID阅读器中可编程全差分低通滤波器的设计,微电子学‚2008‚ vol.38‚no.6‚(核心)
[2008] 陈子晏,张润曦,赖宗声*等‚单片UHF RFID阅读器中VCO及其预分频器设计, 微电子学‚2008‚ vol.38‚no.5‚(核心)
[2008] 马和良,沈怿皓,张润曦,赖宗声*,433MHz ASK接收机中低噪声放大器的设计,电子器件,2008‚vol.32‚no.4
[2008] 沈怿皓,张润曦,赖宗声*,多双曲正切法则在高线性度CMOS混频器设计中的分析与应用,电子器件,2008‚vol.32‚no.4
[2008] 谢传文,张润曦,赖宗声*,一种用于单片UHF RFID阅读器中的低相位噪声LC VCO设计,电子器件,2008‚vol.32‚no.4
[2008] 李萌,张润曦,赖宗声*,基于MATLAB的新型Pipeline ADC的建模和仿真,电子器件,2008‚vol.31‚no.3
[2008] 陈磊,张润曦,石春琦,赖宗声*,一种带输出缓冲的低温度系数带隙基准电路,电子器件,2008‚vol.31‚no.3
[2007] 张润曦,石春琦,赖宗声*,UHF RFID接收前端中混频器的交调性能改进, 微电子学‚2007‚vol.37‚no.6‚ (核心)
[2007] 吴岳婷,张润曦*,赖宗声等,433MHz ASK 接收机版图设计, 微电子学‚2007‚vol.37‚no.6‚(核心)
[2007] 张润曦*,石春琦,赖宗声*,零中频UHF RFID接收机中的低噪声放大器设计,电子器件,2007‚vol.30‚no.2
[2007] 张润曦,石春琦,赖宗声*,UHF RFID阅读器中的堆叠式CMOS LNA设计, 微电子学‚2007‚vol.37‚no.2‚ (核心)
[2007] 沈佳铭,洪亮,石春琦,张润曦,赖宗声*,一种可重构的24bitΣ-Δ调制器的设计,微电子学与计算机‚2007‚vol.24‚no.4‚(核心)


专利申请/授权:
(31)一种 76~81GHz 的 CMOS 全集成功率放大器,授权号:ZL2023053000304680

(30)一种工作于 66~83GHz 的 CMOS 毫米波宽带低噪声放大器,授权号:ZL2023062500169590

(29)一种基于 FPGA 的 FMCW 毫米波雷达测距片上系统,授权号:ZL2023052300084680

(28)一种使用协同调谐缓冲器的宽范围低功率波动压控振荡器,授权号:ZL2023053100262580

(27)一种时域量化的高速流水线 ADC 电路,授权号:ZL2023062900210000

(26)集成电路布图设计登记IMCS-R-QVCO4,登记号:BS.19500308.X
(25)集成电路布图设计登记IMCS-R-PLL25,登记号:BS.19500307.1
(24)集成电路布图设计登记IMCS-R-PA78,登记号:BS.19500306.3
(23)集成电路布图设计登记IMCS-R-CLG,登记号:BS.19500309.8
(22)集成电路布图设计登记IMCS-ABB-A,登记号:BS.19500310.1
(21)一种工作于42-60GHz的CMOS全集成正交注入锁定分频器,专利号:ZL201910061154.4
(20)CMOS低增益宽调谐范围全集成Ka波段毫米波正交压控振荡器,专利号:ZL201610413932.8

(19)CMOS工作于860-960MHz的CMOS全集成UHF RFID读写器射频接收前端电路,专利号:ZL201510523262.0
(18)CMOS全集成Ka波段全射频结构相控阵抗干扰接收前端,专利号:ZL201610754921.6

(17)一种基于65nm CMOS工艺的81-86GHz全集成差分功率放大器,专利号:ZL201510396872.9

(16)最大输出循环长度的输出反馈型增量总和调制器,申请号:201611055408.4
(15)CMOS全集成Ka波段全射频结构相控阵抗干扰接收前端,申请号:201610754921.6
(14)CMOS低增益宽调谐范围全集成Ka波段毫米波正交压控振荡器,申请号:201610413932.8
(13)集成电路布图设计登记IMCS-R-PA81A,登记号:BS.155009087
(12)集成电路布图设计登记IMCS-R-VCO71A,登记号:BS.155005774

(11)工作于860-960MHz的CMOS全集成UHF RFID读写器射频接收前端电路,申请号:201510523262.0
(10)一种互补金属氧化物半导体全集成71-76GHz LC振荡器,申请号:201510360577.8
(9)一种工作于71-76GHz的CMOS全集成伪差分低噪声放大器,申请号:201510347023.4

(8)可编程增益放大器‚专利号:ZL200810034629.2
(7)一种输出带低压差线性稳压器的低温度系数CMOS带隙基准电路‚专利号:ZL201010609486.0
(6)小数分频多模多频锁相环频率综合器‚专利号:ZL201010122452.9
(5)一种多模多频多应用直接变频无线收发器‚专利号:ZL201010137569.4
(4)一种基于电流模逻辑的高速大摆幅除二分频器电路,专利号:ZL201110154956.3
(3)射频识别阅读器的数字基带系统的编码模块,专利号:ZL201010126281.7
(2)三阶四比特误差反馈型增量总和调制器硬件模型,专利号:ZL201010181955.3
(1)基于低压差调压器的低噪声CMOS压控振荡电路,专利号:ZL201010103638.X





荣誉及奖励

(38)2023年,第六届“华为杯”中国研究生创“芯”大赛企业专项一等奖2项(华为,格科微),4项全国二等奖和2项全国三等奖,1项全国优秀组织奖


(37)2023年,华东师范大学,优秀共产党员


(36)2023年,指导的2023届研究生获上海市优秀毕业生(1人,唐钰超),华东师范大学优秀毕业生(1人,邹林峰)


(35)2023年,上海市基层党建,每周双星


(34)2023年,军委科技委源创杯颠覆性技术创新创意大赛,全国二等奖(与上海交大合作)


(33)2022年,上海市,东方英才拔尖人才计划


(32)2022年,指导研究生获华东师范大学2022年度优秀学位论文(李金格),学位论文:《高性能硅基毫米波频率合成器关键技术研究》


(31)2022年,《固体电子学研究与进展》期刊2022年度优秀论文奖


(30)2022年,上海市高等教育(研究生)优秀教学成果奖二等奖(排6)


(29)2022年,华东师范大学,第十三届,师德标兵


(28)2022年,指导研究生获华东师范大学信息学部2021-2022年度优秀学位论文(第一名),学位论文:《高性能硅基毫米波频率合成器关键技术研究》


(27)2022年,第五届“华为杯”中国研究生创“芯”大赛全国一等奖一项,全国二等奖一项,全国三等奖两项,全国优秀组织奖一项,全国最佳指导教师奖两项


(26)2021年,第四届“华为杯”中国研究生创“芯”大赛全国最高奖,创“芯”之星一项、格科微企业奖一等奖全国第一一项,全国二等奖三项,全国三等奖两项,全国优秀组织奖,全国最佳指导教师奖


(25)2021年,《固体电子学研究与进展》期刊2021年度优秀论文奖


24)2021年,指导研究生获2021年度华东师范大学创新创业优秀学生(人物)


(23)2021年,上海市,产学研合作优秀项目奖(排1)


(22)2021年,华东师范大学高等教育(研究生教育)奖一等奖


(21)2021年,华东师范大学教学成果(本科教育)奖二等奖


(20)2021年,指导研究生获2020-2021学年华东师范大学优秀学位论文,学术型硕士学位优秀论文,两篇


(19)2021年,第五届(2020-2021)全国大学生集成电路创新创业大赛全国第一名一等奖一项(IEEE),二等奖两项(ARM,艾为),优秀指导教师、优秀组织奖


(18)2021年,华东师范大学通信学院,优秀共产党员


(17)2020年,华东师范大学2020年度,创新创业优秀指导教师奖


(16)2020年,华东师范大学君浩基金奖教金


(15)2020年,上海市,教育基金会,申万宏源奖教金


(14)2020年,第三届“华为杯”中国研究生创“芯”大赛全国一等奖两项、二等奖两项、优秀指导教师


(13)2020年,第四届(2019-2020)全国大学生集成电路创新创业大赛全国一等奖、优秀指导教师、优秀组织奖


(12)2020年,第二届集成电路EDA设计精英挑战赛全国二等奖、优秀指导教师


(11)2019年,华东师范大学2019年度,本科教学年度贡献奖


(10)2019年,第三届(2018-2019)全国大学生集成电路创新创业大赛全国一等奖、优秀指导教师


(9)2019年,第二届“华为杯”中国研究生创“芯”大赛全国二等奖


(8)2018年,华东师范大学君浩基金奖教金


(7)2018年,华东师范大学信息学院优秀指导教师


(6)2018年,首届“华为杯”中国研究生创“芯”大赛全国第一名、特等奖、优秀指导教师、优秀组织奖


(5)2018年,第二届(2017-2018)全国大学生集成电路创新创业大赛全国一等奖、优秀指导教师


(4)2017年,第十二届华为杯中国研究生电子设计大赛集成电路专业赛全国一等奖、优秀指导教师


(3)2015年,TI杯全国大学生电子设计大赛优秀指导教师


(2)2014年,第九届华为杯中国研究生电子设计大赛优秀指导教师


(1)2013年,华东师范大学优秀本科生导师奖