头像

刁盛锡

通信与电子工程学院      

个人资料

  • 部门: 通信与电子工程学院
  • 毕业院校: 中国科学技术大学
  • 学位: 博士
  • 学历: 研究生
  • 邮编:
  • 联系电话: 021-54345163
  • 传真:
  • 电子邮箱: sxdiao@ce.ecnu.edu.cn
  • 办公地址: 上海市闵行区东川路500号信息楼234
  • 通讯地址: 上海市闵行区东川路500号信息楼234

教育经历

1997.9-2001.6 华东师范大学      微电子学士学位;

2004.7-2006.5 新加坡南洋理工大学  集成电路设计专业硕士学位;

2012.9-2019.6 中国科学技术大学   电子科学与技术专业博士学位。

工作经历

2001.09-2002.10  新加坡微电子研究院      RTP工程师          射频集成电路设计

2002.11-2006.11  新加坡杜邦电子有限公司   系统及电路设计工程师    RFID卡片及读写器的研发

2006.12-2011.06  新加坡微电子研究院      高级研发工程师       低功耗射频发射机研发设计

2011.08-2014.07  中国科学技术大学微纳中心  副研究员           无线通信集成电路设计

2014.08-现在    华东师范大学通信与电子工程学院              通信系统、射频模拟IC及低功耗IC设计

个人简介

于2001年在华东师范大学获得微电子学士学位,并于2006年5月在新加坡南洋理工大学获得集成电路设计专业硕士学位,于2019年在中国科学技术大学获得电子科学与技术专业博士学位。2001年6月到2002年10月在新加坡微电子研究院担任RTP项目工程师;2002年10月到2006年11月他在新加坡杜邦电子有限公司任职系统及电路设计工程师,主要负责RFID卡片及读写器的研发工作;2006年12月-2011年6月在新加坡微电子研究院任职高级研发工程师,主要从事低功耗射频发射机研发设计;他于2011年8月加入中国科学技术大学微纳中心,任职副研究员,主要研究方向为无线通信集成电路设计; 2014年8月他加入华东师范大学信息学院(现为通信与电子工程学院),主要从事通信系统、射频模拟IC及低功耗IC设计。主要任教《模拟电子线路》和《高频电子线路》两门本科生课程。并作为JSSC,T-VLSI,TCAS-I,TCAS-II,T-MTT和EL等期刊的审稿人。

社会兼职

现为JSSC,T-VLSI,TCAS-I,TCAS-II,T-MTT和EL等期刊的审稿人。

研究方向

射频集成电路设计;

无线通信系统及电路设计;

模拟电路设计。


招生与培养

开授课程

《模拟电子线路及实验》  电子科学技术大类本科生一年级下  3+2

《高频电子线路及实验》  通信工程系本科生三年级上      2+2

科研项目

时钟缓冲器设计;

高速随机脉冲驱动器;

CMOS宽带收发机芯片的研究;

用于智能办公的人体存在传感器。


学术成果

期刊及会议文章:

[1]Z.Wang, S.Diao*, L. He, X. Jiang and F. Lin,“Analysis of Current Efficiency for CMOS Class-B LC Oscillators”inIEEE Trans. Circuits and Syst. I,vol.62, no.5, pp. 1345-1352, May 2015.

[2]C.Deng, Y. Sheng, S. Wang, W. Hu, S. Diao* and D. Qian, A CMOS Smart Temperature Sensor with Single-Point Calibration Method for Clinical Use, in IEEE Trans. Circuits and Syst. II, Vol.63, no.2, pp. 136-140Feb. 2016.

[3]S. Chen and S. Diao* and et. al.,Broadband Tunable Integrated CMOS Pulser with 80ps minimum Pulse Width for Gain-Switched Semiconductor Lasers,in Scientific Reports, Vol. 7, 2017.

[4]D. Huang, S. Diao*, W. Qian and et. al, A Resistive-feedback LNA in 65nm CMOS with a gate inductor for bandwidth extension, in Microelectronics Journal, Vol. 46, no. 1, pp. 103-110, Jan. 2015.

[5]N. Chen, S. Diao*, L. Huang and et. al., Reduction of 1/f(3) Phase Noise in LC Oscillator with improved self-switched biasing, in Analog Integrated Circuits and Signal Processing, Vol. 84, no. 1, pp. 19-27, Jul. 2015.

[6]C. Wang, S. Diao*, N. Chen and et.al, A 7.8mW 5.2% FSK Error two-point modulator without calibration, in Journal of Circuits, System and Computers, Vol. 23, no. 9, pp. 1-14, Oct 2014.

[7]J. Sun, S. Diao*, G. Feng and et.al, A 0.5-2.5GHz 910uW Complementary LNA employing positive-negative feedback,in Analog Integrated Circuits and Signal Processing, Vol. 80, no. 2, pp. 163-172, Aug. 2014.

[8]C. Wang, S. Diao*, N. Chen, and et. al, A calibration-less low error two-point modulation transmitter for 802.15.4 application,in Analog Integrated Circuits and Signal Processing, Vol. 80, no. 2, pp. 273-282, Aug. 2014.

[9]D. Huang, W. Qian, M. Khan, S. Diao* and F. Lin, 0.2-4.35GHz Highly Linear CMOS Balun-LNA with Substrate Noise Optimization,in Analog Integrated Circuits and Signal Processing, Vol. 83, no.3 , pp. 285-293, Jun. 2015.

[10] S. Huang, S. Diao and F. Lin, An Energy-Efficient high-speed CMOS Hybrid Comparator with reduced Delay Time in 40nm CMOS Process, in Analog Integrated Circuits and Signal Processing, Vol. 89, no. 1, pp. 231-238, Oct. 2016.

[11]Y. Wang, S. Diao, F. Lin and H. Yuan, An Ultra-Low Power Subthreshold CMOS RSSI for Wake-up Receiver, in Journal of Circuits, Systems and Computers, Vol. 25, no. 8 , pp. 1-14, Aug. 2016.

[12]S. Huang, S. Diao and F. Lin, A 0.7V 8.9 Compact temperature-compensated CMOS subthreshold voltage reference with high reliability, in Analog Integrated Circuits and Signal Processing, Vol. 91, no. 1, pp. 53-61, Apr. 2017.

[13]S. Huang, S. Diao and F. Lin, A power Efficient 14.8GHz CMOS programmable frequency divider with quadrature outputs in 40nm CMOS process, in Analog Integrated Circuits and Signal Processing, Vol. 93, no. 2, pp. 189-196, Nov. 2017.

[14]S. Diao, Y. Zheng and F. Lin, A Bandwidth and Frequency Calibration Method for OOK UWB-IR Transmitter with High Energy Efficient, will appear in Journal of Circuits, Systems and Computers, Vol. 29, no. 9, Jul. 2020.

[15]S. Diao and F. Lin, A Low-Power OOK/DBPSK UWB-IR Transmitter, accepted in Microelectronics, 2020.

[16]Y. Qian, S. Wang and S. Diao, A Low Power Inductorless Wideband Low Noise Amplifier, in CISP-BMEI, 2019.

[17]F. Gao, S. Diao, D. Zhang and et. al., A Gm-C Operational Amplifier for Analog Signal Processing, in CISP-BMEI, 2017.

[18]S. Diao, Y Wang, C. Wang and et. al., VCO Design for Low-Power, High-Efficiency Transmitter Applications, in IEEE RFIT, 2014.(Invited Talk)

[19]Y. Wang, S. Diao and et.al., A 6-bit Phase Shifter for UHF RFID Application, in APMC 2015.

[20]J. Zhang, F. Lin, Y. Wang, and S. Diao, Design of Low-Energy Transceivers in Nanoscale CMOS for Internet of Things, in APMC 2015. (Invited Talk)

[21]C. Chen, R. Zhang, Z. Li, S. Diao and F. Lin, A 0.1-6GHz Inductorless differential Common-Gate LNA, in IWS 2015.

[22]R. Zhang, C. Chen, Z. Li, Z. Fu, S. Diao and F. Lin, A Double Active gm-boosted-based Inductorless Differential Wideband LNA, in IWS 2015.

[23]J. Zhang, C. Wang, S. Diao and F. Lin, A Low-Power VCO-Based ADC with Asynchronous Sigma0Delta Modulator in 65nm CMOS, in ASP-DAC 2015.

[24] Y. Zheng, S. Diao, Q. Ang, F. Choong, Z. Chen and etc. “A 0.92/5.3nJ/b UWB Impulse Radio SoC for Communication and Localization”inIEEE International Solid-State Circuits Conference (ISSCC), San Francisco, 7-11 Feb. 2010

[25]S. Diao, Y. Zheng and C.-H. Heng, “A CMOS Ultra Low-Power and Highly Efficient UWB-IR Transmitter for WPAN Applications”inIEEE Trans. Circuits and Syst. II, vol. 56, no.3, pp. 200-204, Mar. 2009.

[26]S. Diao, Y. Zheng, Y. Gao, S. J. Cheng, X. Yuan, M. Je, C. H. Heng, A 50Mbps CMOS QPSK/O-QPSK Transmitter Employing Injection Locking for Direct Modulation, IEEE Trans. On Microwave Theory and Techniques, vol. 60, no. 1, pp.120-130, Jan. 2012.

[27] Y. Gao, S. J. Cheng, W. D. Toh, Y. S. Kwok, K. C. Tan, X. Chen, W. M. Mok, H. H. Win,B. Zhao, S. Diao, C. Alper, Y. Zheng, S. Sun, M. Je, and C. H. Heng, An assymetricalQPSK/OOK transceiver SoC and 15:1 JPEG encoder IC for multifunction wireless capsuleendoscopy, IEEE J. of Solid-State Circuits, pp. 2717-2733, Nov. 2013.(Invited)

[28] S. Diao, Y. Zheng, Y. Gao, X. Yuan, M. Je and C.-H. Heng, A 5.9mW 50Mbps CMOS QPSK/O-QPSK Transmitter Employing Injection Locking for Direct Modulation, published on IEEE Asian Solid-State Circuits Conference (ASSCC), Beijing, 8-10 Nov. 2010.

[29] Y. Gao, Y. Zheng, S. Diao, C. W. Ang, M. Je, and C. H. Heng, Low power ultra-widebandwireless telemetry transceiver for medical sensor applications, IEEE Trans.on Biomedical Engineering, vol. 58, pp. 768-772, Mar. 2011.

[30] S. Diao, Y. Zheng, Y. Gao, X. Yuan and C.-H. Heng, 3-5GHz IR-UWB Timed Array Transmitter in 0.18µm CMOS”in IEEE Asian Solid-State Circuits Conference (ASSCC), Taipei, 16-18 Nov. 2009, pp.365-368.

[31] S. Diao and Y. Zheng, “An Ultra Low Power and High Efficient UWB Transmitterfor WAPN Applications” in Eur. Solide-State Circuits Conf (ESSCIRC). Sept. 2008, pp. 334-337.

[32] W. Yeoh, Y. Choi, K. Tham,S. Diao; Y. Li, “A CMOS 2.45-GHz radio frequency identification tag IC with read/write memory” in Proc. IEEE Radio Freq. Integr. Circuits Symp.(RFIC), 2005, pp. 265-368.

[33] S. Diao, Y. Zheng, Y. Gao, C.-H. Heng and M. Je, A 7.2mW 15Mbps ASK CMOS Transmitter for Ingestible Capsule Endoscopy, Invited paper by IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), Kuala Lumpur, Malaysia, Dec. 2010.

[34] N. Chen, S. Diao, L. Huang, X. Bai, F. Lin, “Design Optimizations of Phase noise, Power consumption and frequency tuning for VCO,” on Journal of Semiconductors(半导体学报), vol. 34, issue 9, Sept. 2013.

[35] F. Jia, S. Diao, X. Zhang, Z. Fu, F. Lin, “A digitally controlled power amplifier with neutralization capacitors for ZigbeeTM applications” on Journal of Semiconductors(半导体学报), vol. 33, issue 12, Dec. 2012.

[36] S.W. Leow, K. W. Wong, S. Diao and Y.Zheng, “A 0.18µm CMOS UWB Transmitter With Reconfigurable Pulse Width” in Asian-Pacific Microwave Conference (APMC), Singapore, 7-10 Dec. 2009.

[37] Y. Gao, S. Diao, C.-W. Ang, Y. Zheng and X. Yuan, Low Power Ultra Wideband Wireless Telemetry System for Capsule Endoscopy Application, published on IEEE International Coference on Cybernetics and Intelligent Systems and IEEE International Conference on Robotics, Automation and Mechatronics (CIS-RAM2010), Singapore, 28-30 Jun. 2010.

[38] S. Q. Tang, J. Chandrappan, N. Su, R. Lim, Y. Gao, S. Diao, Y. Wang, C.-W. Ang, Y. Zheng, V. Kripesh, J. S. Chong, S. Li and Edmund H. Q. Tay, Development of and efficient imaging system for capsule endoscopic diagnostics, published on International Conference on Cellular & Molecular Bioengineering (ICCMB), Singapore, 2-4 Aug. 2010.

[39] Y. Zheng, Y. Gao, S. Diao, C. W. Ang, D. Han and C.-H. Heng, Advance on Pulse-Based UWB Integrated Transceiver Circuits and Systems, Invited Paper by IEEE International Conference on Ultra-Wideband (ICUWB), Nanjing, China, Sept. 2010.

[40] Y. Gao, Y. Zheng, S. Diao, Y. Zhu, C.-H. Heng, “An integrated beamformer for IR-UWB receiver in 0.18um CMOS” on IEEE International Symposium on Circuits and Systems(ISCAS),May 2011, pp.1548-1551.

[41] J.-H. Chang, S. Diao, R. M. Kumarasamy, M. Je, “32KHz MEMS-based oscillator for implantable medical devices” in 13th International Symposium on Integrated Circuits(ISIC), Singapore, Dec. 2011, pp. 246-249.

[42] A. Cabuk, Y. Gao, S. Diao, Y. Zheng, M. Je, C.-H. Heng, “Low-power wireless recervers for healthcare applications” on 13th International Symposium on Integrated Circuits(ISIC), Singapore, Dec. 2011, pp. 356-359.

[43] G. Zhu, S. Diao, F. Lin, D. Guidotti, “A low-power wide-band 20GHz VCO in 65nm CMOS” on 5thGlobal Symposium on Millimeter Waves(GSMM), May 2012, pp.291-294.

[44] P. Wei, S. Diao, D. Huang, Z. Fu, F. Lin, “A K-Band down-conversion mixer design with integrated baluns in 65nm CMOS” on 5thGlobal Symposium on Millimeter Waves(GSMM), May 2012, pp.282-285.

[45] D. Huang, S. Diao, P. Wei, F. Lin, “A low-power 18GHz dual-injection-locked frequency divider in 65nm CMOS” on 5thGlobal Symposium on Millimeter Waves(GSMM), May 2012, pp.278-281.

[46] Leong W. Deng, Y. Zheng, Z. Lin, S. Diao, Y. Gao, “MIMO Ultra-Wideband system for breast cancer detection” on IEEE International Symposium on Radio Frequency Integration Technology(RFIT), Singapore, Nov. 2012, pp.143-146.

[47] Y. Gao, X. Liu, Y. Zheng, S. Diao, W. Toh, Y. Wang, B. Zhao, M. Je, C.-H. Heng,”A low power interference robust IR-UWB transceiver SoC for WBAN applications” on IEEE International Symposium on Radio Frequency Integration Technology(RFIT), Singapore, Nov. 2012, pp.153-155.

[48] F. Jia, D. Huang, S. Diao, Z. Fu, F. Lin, “A digitally controlled PA with tunable matching network” on IEEE International Symposium on Radio Frequency Integration Technology(RFIT), Singapore, Nov. 2012, pp.243-245.

[49] Y. Gao, S. J. Cheng, W. D. Toh, Y. S. Kwok, K. C. Tan, X. Chen, W. M. Mok, B. Zhao, S.Diao, C. Alper, Y. Zheng, S. Sun, M. Je, C. H. Heng, A QPSK/OOK transceiver SoC and JPEGencoder chipset for multifunction wireless capsule endoscope, Proc. ASSCC, pp. 341-344,Nov. 2012.

[50]  Y. Gao, X. Liu, Y. Zheng, S. Diao, W. Toh, Y. Wang, B. Zhao, M. Je, C. H. Heng, A lowpower interference robust IR-UWB transceiver SoC for WBAN applications, Proc. RFIT, pp.153-155, Nov. 2012.

[51]  C. H. Heng, Y. Gao, M. Izad, S. Diao, S. J. Cheng, Y. Zheng and M. Je, Energyefficient transmitters for high data rate biomedical applications, IEEE International Wireless Symposium, pp. 1-4, Apr. 2013. (Invited)

[52] R. Luo, X. Bai, S. Diao, F. Lin, A 1mW CMOS limiting amplifier and RSSI for ZigBee applications, IEEE International Wireless Symposium, pp. 1-4, Apr. 2013.


专利:

[1] S. Diao, Y. Zheng, “A Low Power BPSK/OOK Modulation method for ultra Wide Band Impulse Radio Transmittergranted SG 201202719-9.

[2] S. Diao, Y. Gao, Y. Zheng, A low power low complexity QPSK RF transmitter based on injection-lock technology granted WO/2011/149425.

[3] W. Yeoh, W. Lien, Y. Choi, K. Tham, Y. Li and S. Diao, “Radio Frequency Identification and Communication Device” in US2007/0013486,  Jan. 2007, WO2005074157A1, Aug. 2005,  EP1709748A1, SG0143030A1, Jun. 2008, KR6130627A, Dec. 2006, CN11015135A, Aug. 2007 and JP2007518339T2, Jul. 2007.

[4]刁盛锡,钱祎涵,“一种高速随机信号脉冲驱动器”:CN201821606080.5[P].2019-06-11.

[5]陈少强,冉旭,刁盛锡等等,“一种用于半导体激光器的皮秒级脉冲发生电路”:CN201910051936.X[P].2019-06-07.

[6]刁盛锡,钱祎涵,“一种高速随机信号脉冲驱动器”:CN201811149715.8[P].2018-12-11.

[7]王淑仙,杨健乐,刁盛锡,刘一清,一种无线高清视频实时传输装置:CN201620277888.8[P].2016-08-24.

[8]王淑仙,杨健乐,刁盛锡,刘一清,一种无线高清视频实时传输方法及装置:CN201610208787.X[P].2016-07-13.

[9]黄东,刁盛锡,林福江,一种高线性度宽带巴伦低噪声放大器:CN201510073198.0[P].2015-04-29.

[10]黄森,王云阵,刁盛锡,林福江,一种低功耗低温漂的CMOS基准电压源”:CN201510012290.6[P].2015-04-15.

[11]王云阵,朱煜,邓莎,刁盛锡,林福江,一种基于超高频RFID应用的6360°有源移相器”:CN201510227625.6[P].2015-07-08.

[12]陈岑,孙景业,刁盛锡,林福江,一种采用正反馈技术和有源跨导增强技术的低功耗低噪声放大器:CN201410431380.4[P].2015-01-07.

[13]王云阵,刁盛锡,谢润,林福江,具有抵消自干扰信号功能的变压器及基于该变压器的超高频RFID接收机前端”:CN201410351113.6[P].2014-10-22.

[14]张蓉,孙景业,刁盛锡,傅忠谦,林福江,一种采用有源跨导增强和噪声抵消技术的差分低功耗低噪声放大器:CN201410431317.0[P].2014-11-26.

[15]朱光,朱瑾,刁盛锡,林福江,一种基于并联反馈的电荷泵:CN201310461993.8[P].2014-01-01.

[16]黄东,刁盛锡,林福江,一种采用电感补偿技术的超宽带低噪声放大器:CN201310492023.4[P].2014-01-22.

[17] 赵珉,刁盛锡,林福江,孙景业,“一种基于可调负阻结构的多模多通道混频器”:CN201310150806.4[P].2013-08-07.

[18]刘帮安,刁盛锡,林福江,“一种带有奇次谐波抑制机制的注入锁定二倍频器”:CN201310125848.2[P].2013-07-24.

[19]王陈銮,刁盛锡,林福江,一种线性调谐的环形振荡器:CN201310182170.1[P].2013-09-11.

[20]朱光,刁盛锡,朱瑾,林福江,“一种实现16正交幅度调制器”:CN201310085160.6[P].2013-07-10.

[21]卫鹏,林福江,刁盛锡“一种带有失配补偿技术的有源Balun:CN201210531622.8[P].2013-05-08.

[22]张吉利,黄森,王子谦,王陈銮,朱光,刁盛锡,林福江, “一种宽带全集成锁相环频率综合器:CN201410428339.1[P].2014-12-10.

[23]贾非,林福江,刁盛锡“一种输出功率可调的功率放大器”:CN201110385793.X[P].2012-04-25.



荣誉及奖励

2017年获得上海市教学成果一等奖,同时获得校级教学成果一等奖。


10 访问

相关教师